A. 晚會彩燈控制電路設計
兄弟,這么點分沒人給你做的!!
B. 八路彩燈控制器電路設計圖,要求用移位寄存器為核心元件,組成兩種花形,每種連續循環兩次
3 工作原理
3.1 系統方案
3.11 方案一
彩燈控制器電原理圖如下圖所示。ICl、IC2由555接成多諧振盪器。IC3由4位2進制計數器74LS93接成16進制計數器,其4個輸出端可分別輸出對計數脈沖的2、4、8、16分頻信號。IC4是雙D觸發器74LS74,在這里接成兩位2進制加法計數器。IC5是雙4選l數據選擇器74LSl53,這里只用了它的一組4選1數據通道。IC6是
3位單向移位寄存器74LSl64,它是產生移動燈光信號的核心器件。
驅動電路用8隻三極體組成8路射隨器作緩沖放大,去觸發作電流開關的8隻雙向可控硅,以控制彩燈
發光。
電路的十5V電源由220V/9V變壓器降壓,經D1一D4橋式整流,7805穩壓後給控制電路供電。
電路工作原理
從ICl⑧腳出來的脈沖信號分為兩路:一路作為計數脈沖送到IC3的⑩腳;另一路作為移位時鍾脈沖加到IC6的⑧腳。調節RWl改變ICl的振盪頻率,可以改變燈光的移動速度,以得到不同的動態效果。
IC2、IC4、IC5共同組成了一個電子開關。IC2輸出的計數脈沖經IC4兩位二進制計數,在IC4的兩個輸出端共可得到「00」一「11」4個邏輯狀態。這4個狀態作為IC5的4個數據通道選擇信號,對應從IC3輸送到IC5的QA、QB、QC、QD4個分頻信號。其作用相當於一個受控的一刀四位的機械轉換開關。當IC4輸出為「00」時,選通IC5的⑧腳;為「01」時,選定IC5的⑤腳……。調節RW2改變IC2的輸出脈沖周期,可以改變開關的切換時間,用以選擇每種花樣出現時間的長短。
從IC5第⑦腳輸出的數據信號送到IC6的輸入端,在時鍾脈沖作用下,數據在IC6的8位並行輸出端從Q0一Q7順序移動。這一移動的8位控制信號經功率驅動電路去推動8路彩燈,就出現了8路4花樣自動循環切換的流水彩燈。
3.12 方案二
彩燈控制電路如下圖所示,彩燈由發光二極體模擬替代,該電路由555定時器,7490計數器和74138解碼器組成。7490計數器的時鍾信號由555振盪器提供,改變555振盪器的頻率,即可控制彩燈閃爍的快慢。計數器輸出信號輸送至74138解碼器,由138解碼,根據計數器輸出不同的計數結果,即可控制138解碼器解碼得到不同的輸出信號,決定控制彩燈的循環變化。顯然,不同的計數器與解碼器電路得到的是不同的彩燈循環控制結果。若解碼器不變,在計數器的控制端輸入不同的控制信號,進行不同的技術,則在輸出端可見不同的彩燈循環輸出。
3.13 方案三
彩燈控制電路如圖所示,圖中SE9201為雙極和CMOS兼容工藝的大規模集成電路,採用DIP-18腳雙列直式塑封結構。該電路外圍元件少,外接一隻電位器RP與電容器C2,其阻容值就決定了內部振盪器的時鍾頻率。通常電容器取0.1-0.22μF,電位器為1MΩ,通過改變其電阻值就可以改變閃光快慢,待調到理想閃光頻率時再換用同阻值的固定電阻器。集成電路有B1—B4四個花樣選擇端,通過其與不同電平連接,可組成眾多變化的閃光花樣。Q1—Q8共八個輸出端,可驅動八路彩燈,SE9201使用電源為3—8V,典型值為5V。
這里的B1—B4相連,以實現四點追逐和全亮間隔閃光雙循環的基本花樣,當然,也可在次埠接入一個控制器,不停地變換閃光方式。
方案二電路原理圖
方案三電路原理圖
方案一的電路圖較之二和三來相對復雜,用的元器件較多;方案二電路圖簡單,用到的元器件少,但花樣少,不同的花樣需換用不同的計數器與解碼器,形式較為呆板;方案三用的元器件少,電路圖簡單易於維修組裝與調試,且花樣豐富多彩,四個選擇端可任意連接組成多達27種花樣,故採用方案三!
4 元器件的選擇
IC選用SE9201型彩燈專用集成電路。VD1—VD4採用N4004—1N4007型等硅整流二極體;VD5選用5V,0.5W穩壓二極體,如2CW21B,HZ5C—2型或5.1V,0.5V穩壓二極體,如2CW53—5V1,1N5231,1N5231B,1N5993,2CW5231,UZ—5.1B型等;VS1—VS8選用普通小型塑封單向晶閘管,如2N6565,MCR100—8,BT169型等,每路彩燈功率可達100W左右。R1採用RI—1W型金屬膜電阻器,其餘電阻可選用RTX—1/8W型碳膜電阻器。RP可用WH5小型碳膜合成電位器,它用可以調節彩燈循環點亮的速率。C1採用CD11—16V型電解電容器,C2用CT1型瓷介電容器。
5 元器件的簡要說明
5.1 SE9201的控制方式
SE9201具有8種基本花樣:①四點追逐;②彈性張縮;③跳馬右旋;④跳馬左旋;⑤依次亮同時滅;⑥同時滅依次亮;⑦左右擴張;⑧全亮間隔閃光。
下表提供27種花樣自動變換方式,自動全循環時,每種花樣閃光次數除全亮間隔閃光四次外,其他花樣都八次。而雙循環和全循環的每種花樣的閃光次數都為自動轉換次數的一半。
SE9201集成電路花樣的控制方式
次序 B1 B2 B3 B4 燈 光 變 換 花 樣
1 低 低 低 懸空 四點追逐
2 高 低 低 懸空 彈性張縮
3 低 高 低 懸空 跳馬右旋
4 高 高 低 懸空 跳馬左旋
5 低 低 高 懸空 依次亮同時滅
6 高 低 高 懸空 同時滅依次亮
7 低 高 高 懸空 八種花樣自動循環
8 高 高 高 懸空 全亮間隔閃光
9 低 低 B3、B4相連 四點追逐和依次亮同時滅雙循環
10 高 低 B3、B4相連 彈性張縮和同時滅依次亮雙循環
11 低 高 B3、B4相連 跳馬右旋為主間隔8種花樣自動轉換
12 高 高 B3、B4相連 跳馬左旋和全亮間隔閃光雙循環
13 低 低 B2、B4相連 四點追逐和跳馬右旋雙循環
14 高 低 B2、B4相連 彈性張縮和跳馬左旋雙循環
15 低 高 B2、B4相連 依次亮同時滅為主間隔8種花樣自動轉換
16 高 高 B2、B4相連 同時滅依次亮和全亮間隔閃光雙循環
17 低 低 B1、B4相連 四點追逐和彈性張縮雙循環
18 高 低 B1、B4相連 跳馬左右旋循環
19 低 高 B1、B4相連 依次亮同時滅和同時滅依次亮雙循環
20 高 高 B1、B4相連 全亮間隔閃光為主間隔8種花樣循環
21 低 B2、B3、B4相連 四點追逐為主間隔8種花樣循環
22 高 B2、B3、B4相連 彈性張縮和全亮間隔閃光雙循環
23 低 B1、B3、B4相連 四點追逐和同時亮依次滅雙循環
24 高 B1、B3、B4相連 跳馬右旋和全亮間隔閃光雙循環
25 低 B1、B2、B4相連 四點追逐和跳馬左旋雙循環
26 高 B1、B2、B4相連 依次亮同時滅和全亮間隔閃光雙循環
27 B1B2B3B4相連 四點追逐和全亮間隔閃光雙循環
6 製作與調試
除EL1—EL8外,所有電子元器件安裝在一塊自製的印製電路板上,並將 其裝入大小合適的塑料或木盒之內。如驅動大功率的電路,則需選用大功率的晶閘管,對於開機時正常,工作一段時間後出現某一路或幾路燈光常亮的故障,一般是由於採用質量差的晶閘管或器件溫升過高而造成,解決方法是更換質量較好的晶閘管或加散熱器。
C. EDA課程設計:彩燈控制器
以前做的設計,粘貼時圖形沒出來,參考一下,記得給分啊
一.設計目的
1、學習EDA開發軟體和MAX+plus Ⅱ的使用方法,熟悉可編程邏輯器件的使用,通過製作來了解彩燈控制系統。
2、進一步掌握數字電路課程所學的知識。
3、了解數字電路設計的一般思路,進一步解決和分析問題。
4、培養自己的編程和謹慎的學習態度
二、.設計題目內容和要求
(1)課題內容:
用EDA技術設計一個彩燈控制器,使彩燈(LED管)能連續發出三種以上不同的花型(自擬);
隨著彩燈顯示圖案的變化,發出不同的音響聲。
要求使用7段數碼管顯示當前顯示的花型,如第一種花型顯示A1,第二種花型顯示b2,第三種花型顯示C3
(2)主要任務:完成該系統的硬體和軟體的設計,並利用實驗箱製作出實物演示,調試好後並能實際運用(指導教師提供製作所需的器件),最後就課程設計本身提交一篇課程設計報告。
三、總體方案設計與選擇
1 總體方案的設計
方案一:電路分為三個部分:彩燈花型模塊、聲音模塊,時鍾模塊。用時鍾控制聲音和花型,整體使用相同的變數與信號,主體框圖如下;
圖三—1-1方案一的的流程圖
方案二:電路分為五個模塊:分頻器模塊、16進制計數器、4進制計數器,4選1選擇器、彩燈控制器。其中彩燈控制器是用來輸出不同的花樣,彩燈控制器的輸出則是用一個16進制的計數器來控制,揚聲器的輸出時用不同的頻率來控制,所以用了一個集成分頻器來使輸入的頻率被分為幾種不同的頻率,不同頻率的選擇性的輸出則是用一個4選一的選擇器來控制。整體框圖如下:
圖三—1-2方案二的流程圖
2、方案的選擇
方案一是將融合在一起,原理思路簡單,元件種類使用少,但是在編程時要使用同一變數和信號,這樣就會給編程帶來很大的困難,另外中間單元連線較多,不容易檢查,門電路使用較多,電路的抗干擾能力會下降。
方案二將彩燈花型控制與聲音控制分開,各單元電路只實現一種功能,電路設計模塊化,且編程時將工作量分開,出現錯誤時較容易檢查,連線較少且容易組裝和調試。
結合兩個方案的優缺點,我選擇容易編程、組裝和調試的方案二。
四、模塊電路的設計
1、分頻器模塊
設計要求顯示不同的彩燈的時候要伴隨不同的音樂,所以設計分頻器來用不同的頻率控制不同的音樂輸出。
模塊說明:
Rst:輸入信號 復位信號 用來復位分頻器的輸出使輸出為「0」,及沒有音樂輸出。
Clk:輸入信號 模塊的功能即為分頻輸入的頻率信號。
Clk_4、clk8、clk_12、clk_16:輸出信號 即為分頻模塊對輸入信號clk的分頻,分別為1/4分頻輸出、1/8分頻輸出、1/12分頻輸出、1/16分頻輸出。不同的頻率會發出不同的聲音。如圖
圖四-1分頻器電路圖
2、16進制計數器
16進制模塊用來控制彩燈輸出模塊,即確定彩燈控制器的不同的輸出。
Rst:輸入信號 復位信號 用來復位16進制使其輸出為「00000」,即彩燈不亮。
Clk1:輸入信號 用來給模塊提供工作頻率。
Count_out[3..0]:輸出信號 即為16進制計數器的輸出,此輸出信號作為彩燈的輸入信號。
如圖四-2
圖四-2 16進制計數器電路圖
3、4進制計數器模塊
4進制計數器作為選擇器的輸入來控制選擇器選擇不同的頻率作為輸出控制揚聲器工作。
Clk2:輸入信號 來為計數器提供工作頻率。
Rst:輸入信號 復位信號 使計數器的輸出為「00」。
如圖四-3
圖四-3 4進制計數器電路圖
4、4選1選擇器模塊
Rst:輸入信號復位信號使選擇器的輸出為「0」。
In1、in2、in3、in4:輸入信號接分頻器的輸出。
Inp[1..0]:輸入信號接4進制計數器的輸出用來控制選擇器的選擇不同的輸入選擇不同的輸出。
Output2:輸出信號直接接揚聲器即輸出的是不同的頻率來控制揚聲器播放聲音
如圖四—4
圖四—4 4選1選擇器電路圖
5、彩燈控制模塊
彩燈控制採用的模式6來進行顯示。
圖四—5—1模式6結構圖
彩燈控制模塊用來直接控制彩燈的輸出,使彩燈表現出不同的花樣。
Rst:輸入信號 使彩燈控制模塊的輸出為「00000000」,即讓彩燈無輸出。
Input[4..0]:輸入信號 不同的輸入使彩燈控制模塊有不同的輸出即彩燈顯示出不同的花樣。
Output3[7..0]:輸出信號 直接與數碼管相連來控制數碼管。
如圖四—5—2
圖四-5-2 彩燈控制電路圖
五、EDA設計與模擬
1、源程序:
----------------------------------------------分頻器模塊-----------------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;
ENTITYfenpinqi IS
PORT
(
clk2,rst :IN std_logic;
clk_12,clk_4,clk_16,clk_8 : OUT std_logic
);
ENDfenpinqi;
ARCHITECTUREcd OF fenpinqi IS
begin
p1:process(clk2,rst)
variable a:integer range 0 to 20;
begin
if rst='1' then
clk_4<='0'; ----- 復位信號控制部分
else
if clk2'event and clk2='1'then
if a>=3 then
a:=0;
clk_4<='1';
else
a:=a+1;
clk_4<='0';
end if;
end if;
end if;
endprocess p1;
p2:process(clk2,rst)
variable b:integer range 0 to 20;
begin
if rst='1' then
clk_16<='0'; ----- 復位信號控制部分
else
if clk2'event and clk2='1'then
if b>=15 then
b:=0;
clk_16<='1';
else
b:=b+1;
clk_16<='0';
end if;
end if;
end if;
endprocess p2;
p3:process(clk2,rst)
variable c:integer range 0 to 20;
begin
if rst='1' then
clk_8<='0'; ----- 復位信號控制部分
else
if clk2'event and clk2='1'then
if c>=7 then
c:=0;
clk_8<='1';
else
c:=c+1;
clk_8<='0';
end if;
end if;
end if;
endprocess p3;
p4:process(clk2,rst)
variable d:integer range 0 to 40;
begin
if rst='1' then
clk_12<='0'; ----- 復位信號控制部分
else
if clk2'event and clk2='1'then
if d>=11 then
d:=0;
clk_12<='1';
else
d:=d+1;
clk_12<='0';
end if;
end if;
end if;
endprocess p4;
endcd;
----------------------------------------------4選1選擇器---------------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;
ENTITYxzq4_1 IS
PORT
(
rst:in std_logic;
inp:in integer range 0 to 3;
in1,in2,in3,in4 : In std_logic;
output2 :OUT std_logic
);
ENDxzq4_1;
ARCHITECTUREa OF xzq4_1 IS
BEGIN
PROCESS (rst,inp)
BEGIN
if(rst='1') then output2<='0';
else
case inp is
when 0=>output2<=in1;
when 1=>output2<=in2;
when 2=>output2<=in3;
when 3=>output2<=in4;
when others=>null;
end case;
end if;
END PROCESS;
ENDa;
-------------------------------------------彩燈控制模塊----------------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;
ENTITYcaideng IS
PORT
(
input :
IN INTEGER RANGE
0 TO 15;
rst:in std_logic;
output3 :OUT std_logic_vector(7 downto 0);
sm :out std_logic_vector(6 downto 0)
);
ENDcaideng;
ARCHITECTUREa OF caideng IS
BEGIN
PROCESS (input)
BEGIN
if rst='1' thenoutput3<="00000000";sm<="0000000";
else
case input is
when 0=>output3<="00111000";sm<="0000110";
when1=>output3<="00001111";sm<="0000110";
when2=>output3<="00111110";sm<="0000110";
when3=>output3<="01111111";sm<="0000110";
when4=>output3<="01011011";sm<="1011011";
when5=>output3<="01110110";sm<="1011011";
when6=>output3<="00001111";sm<="1011011";
when7=>output3<="01111111";sm<="1011011";
when8=>output3<="01101101";sm<="1001111";
when9=>output3<="00000111";sm<="1001111";
when10=>output3<="01110111";sm<="1001111";
when11=>output3<="01111011";sm<="1001111";
when12=>output3<="00111000";sm<="1100110";
when13=>output3<="00111111";sm<="1100110";
when14=>output3<="00111110";sm<="1100110";
when 15=>output3<="01111001";sm<="1100110";
when others=>null;
end case;
end if;
end process;
end a;
--------------------------------------------16進制計數器模塊-----------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;
ENTITYcounter_16 IS
PORT
(
clk,rst :IN std_logic;
count_out :
OUT INTEGER RANGE
0 TO 15);
ENDcounter_16;
ARCHITECTUREa OF counter_16 IS
BEGIN
PROCESS (rst,clk)
variable temp:integer range 0 to 16;
BEGIN
IF rst='1' THEN
temp:=0;
ELSIF (clk'event and clk='1') THEN
temp:=temp+1;
if(temp=15) then
temp:=0;
end if;
END IF;
count_out<=temp;
END PROCESS;
ENDa;
-------------------------------4進制計數器模塊----------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;
ENTITYcounter_4 IS
PORT
(
clk,rst :IN std_logic;
count_out :OUT integer range 0 to 3 );
ENDcounter_4;
ARCHITECTUREa OF counter_4 IS
BEGIN
PROCESS (rst,clk)
variable temp:integer range 0 to 16;
BEGIN
IF rst='1' THEN
temp:=0;
ELSIF (clk'event and clk='1') THEN
temp:=temp+1;
if(temp=4) then
temp:=0;
end if;
END IF;
count_out<=temp;
END PROCESS;
ENDa;
-------------------------------------------主程序----------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;
ENTITYproject IS
PORT (clk1,rst,clk2: IN std_logic;
Out1: OUT std_logic_vector(7 downto 0);
Out2 :out std_logic_vector(6 downto0);
Out3: OUT std_logic);
ENDproject;
ARCHITECTUREstruct OF project IS
COMPONENT counter_16 IS
PORT(clk,rst : IN std_logic;
count_out : OUT integer range 0 to 15 );
ENDCOMPONENT;
COMPONENT fenpinqi IS
PORT(clk2,rst : IN std_logic;
clk_12,clk_4,clk_16,clk_8 : OUT std_logic);
END COMPONENT ;
COMPONENT counter_4 IS
PORT(clk,rst :IN std_logic;
count_out :OUT integer range 0 to 3 );
ENDCOMPONENT;
COMPONENT xzq4_1 IS
PORT
(
rst:in std_logic;
inp:in integer range 0 to 3;
in1,in2,in3,in4 : In std_logic;
output2 :OUT std_logic
);
ENDCOMPONENT;
COMPONENT caideng IS
PORT
(
input: IN INTEGER RANGE 0 TO 15;
rst:in std_logic;
output3 :OUT std_logic_vector(7 downto 0);
sm :out std_logic_vector(6 downto 0)
);
ENDCOMPONENT;
SIGNALu: integer range 0 to 15;
SIGNALw: integer range 0 to 3;
SIGNALv1,v2,v3,v4: std_logic;
BEGIN
U1:counter_16PORT MAP(clk1,rst,u);
U2:fenpinqiPORT MAP(clk2,rst, v1,v2,v3,v4);
U3:counter_4PORT MAP(v3,rst,w);
U4:xzq4_1 PORT MAP(rst,w, v1,v2,v3,v4,out3);
U5:caidengPORT MAP(u,rst,out1,out2);
ENDstruct;
2、彩燈控制器模擬結果及數據分析
分析:如上圖,clk1控制的是彩燈模塊,clk2控制的是聲音模塊,當rst為高電平是輸出全為0,ck1每出現四個高電平,花型發生一次變化,out2分別顯示1、2、3、4,out1顯示不同的花型,out3發出聲音,如圖脈沖數不同表示發出的聲音不同,但是聲音與花型相比有一定的延遲。
六、硬體實現
1、引腳鎖定圖
2、硬體模擬圖
顯示第一組花型之一
顯示第二組花型之一
顯示第三組花型之一
顯示的第四組花型之一
七、總體電路
整個系統就是各個分模塊組成來實現最後的彩燈控制功能,系統又兩個時鍾來控制一個是控制16進制計數器即控制彩燈控制模塊來實現彩燈的不同輸出,另一個時鍾為分頻器的輸入來進行分頻處理,最後用來控制揚聲器發出不同的音樂,為了使效果明顯盡量達到要求分頻處理的時鍾的頻率比實現彩燈控制的時鍾頻率要高。
將各個模塊連在一起採用在課程中學到的元件例化,將各個模塊的引腳連在一起,使之成為一個整體。元件例化是VHDL設計實體構自上而下層次化設計的重要途徑。整體電路如圖五—1
圖七—1 整體電路圖
八、心得體會
1、在設計時遇到一些主要問題如下:怎麼將各個模塊連在一起、開始硬體模擬時總是出現錯誤,設計方案的選擇。最後我選擇了元件例化將各個模塊連在一起,模擬時是因為短路帽接錯了,當時沒有注意,在方案的選擇時我們選了實現比較簡單的分模塊方案
2、這次的EDA課程設計我學到得東西很多明白了理論與實踐之間的差距,而且對DEA課程有了更深入的理解,尤其是知道了怎麼去應用所學的知識,怎麼去利用網路實現自己的要求,具體體會如下:
(1)要想完成編程就要對DEA知識很熟悉,這樣才能加快編程的速度,另外在編程時一定要小心,稍微有一點粗心都會有很多的錯誤出現,在出現錯誤後要學會尋找錯誤原因如名稱前後不一、數據類型不同、符號寫錯等等
(2)拿道題目後要注意分析,要學會總體把握,然後再一一一細化、學會將復雜的問題簡單化,分析時一定要有一個明確的目標。
(3)要學會理論聯系實際,在程序導入到實驗箱後,居然不顯示結果,認真的檢查看看操作是否有錯誤、試驗箱中該短路的是否已用短路帽短路、又重新檢查了一下程序,結果發現是短路帽接錯了,所以看似很簡單的操作自己操作起來可能會有很大的漏洞,所以親自動手是很重要的。
(4)當自己的只是有限時,要注意運用網路等一切資源,要學會知識的靈活運用在查閱的過程中學到了很多在書本所沒有學到的知識,通過查閱相關資料進一步加深了對EDA的了解
總的來說,通過這次課程設計不僅鍛煉了我們的動手和動腦能力,也使我懂得了理論與實際相結合的重要性,只有理論知識是遠遠不夠的,要把所學的理論知識與實踐相結合起來,才能提高自己的實際動手能力和獨立思考的能力。還有最重要的一點就是要有一絲不苟的精神和端正認真的態度,遇到困難後要學會積極的面對。
3、在此設計中聲音會有一定的延遲,可以考慮用花型輸出信號作為4選1的控制信號
九、參考書目:
趙偉軍,《Protel99se教程》,北京,人民郵電出版社,1996年
金西,《VHDL與復雜數字系統設計》,西安,西安電子科技大學出版社,2003
漢澤西,《EDA技術及其應用》,北京,北京航空航天大學出版社,2004
[4] 黃任,《VHDL入門.解惑.經典實例.經驗總結》,北京,北京航空航天大學出版社,2005
[5] 李洋,《EDA技術 使用教程》,北京,機械工業出版社,2009
[6] 網路資源:EDA課程設計、EDA課程設計—彩燈控制器等
D. 彩燈循環控制電路的設計與製作
循環彩燈控制電路的設計與製作
利用控制電路可使彩燈(例如霓虹燈)按一定的規律不斷的改變狀態,不僅可以獲得良好的觀賞效果,而且可以省電(與全部彩燈始終全亮相比)。近年來,隨著人們生活水平的較大提高,人們對於物質生活的要求也在逐漸提高,不光是對各種各樣的生活電器的需要,也開始在環境的幽雅方面有了更高的要求。比如日光燈已經不能滿足於我們的需要,彩燈的運用已經遍布於人們的生活中,從歌舞廳到卡拉OK包房,從節日的祝賀到日常生活中的點綴。這些不緊說明了我們對生活的要求有了質的飛躍,也說明科技在現實運用中有了較大的發展。在這一設計中我們將涉及有關彩燈控制器的設計,從原理上使我們對這一設計有所了解。將其確實的與我們相聯系起來。
循環彩燈的電路很多,循環方式更是五花八門,而且有專門的可編程彩燈集成電路。絕大多數的彩燈控制電路都是用數字電路來實現的,例如,用中規模集成電路實現的彩燈控制電路主要用計數器,解碼器,分配器和移位寄存器等集成。本次設計的循環彩燈控制器就是用計數器和解碼器來實現,其特點是控制器來控制四組發光二極體,使其能循環發光。
本七彩循環控制電路由交流壓降整流電路、時基脈沖發生器、十進制計數器和可控硅觸發彩燈電路等組成,其電路如圖交流壓降整流電路整流穩壓輸入9V的直流電壓,供IC1、IC2等使用。時基脈沖發生器由IC1(555),R1、RP1、C3等組成,它產生的周期脈沖序列頻率為fc=1.44/(R1+2R2+RP1)C3其時鍾頻率及占空比由RP1 調定。
元器件清單
序號 名稱 型號 參數 數量
1 通用電路板 1
2 T 變壓器 15V 1
3 C1 電解電容 330μF/25V 1
4 C2 電解電容 100μF 1
5 C3 電解電容 3.3μF/16V 1
6 C4 瓷片電容 0.1p 1
7 R1 電阻 2kΩ/0.25W 1
8 R2~R5 電阻 1 kΩ/0.5W 4
9 RP 電位器 680 kΩ 1
10 IC0 橋式整流器 橋式整流器 1
11 IC1 7809 1
12 IC2 IN555 1
13 IC2 CD4017 1
14 VD1~VD4 BTA06 4
15 H1~H2 G2HD01 4
16 集成電路插座(8腳) 1
17 集成電路插座(16腳) 1
18 電源線 線經0.15藍色50cm
課題需要完成的任務:
利用電子電路裝置控制。控制四路彩燈,每路以20瓦,200伏白熾燈為負載(測試中用發光二極體代替),彩燈雙向流動點亮,其閃爍頻率在(1~10)赫茲內可調。彩燈控制器包含時鍾發生器、順序脈沖產生電路、可控硅觸發電路和直流電源燈組成部分,邏輯電路採用集成電路。
參考文獻
[1]康華光.數字電子技術[M].高等教育出版社,2001.
[2]閻石.數字電子技術基礎[M].北京:高等教育出版社,2001.
[3]祁存榮.電子技術實驗基礎[M].武漢理工大學教材中心,2002.
[4]彭介華.電子技術課程設計指導[M].高等教育出版社,1997.
[5]李國麗,朱維勇.電子技術實驗指導書[M].中國科技大學出版社,2001.
[6]鄭家龍,王小海.集成電子技術基礎教程[M].高等教育出版社,1997.
E. 節日燈控制電路如何設計
74ls161是16進制計數器。0000-1111
一個脈沖走一個數。
74ls38是38線解碼器。有3個輸入端。將74ls161低三位輸出端聯在74ls138上。
74ls138有8個輸出端,因為你有16個燈,所以一個輸出端接兩個燈。
現在每個燈都接在74ls138上了。8組燈,一組兩個,每組燈之前接一個與門分別與74ls161的高位輸出端和高位輸出端的「非」連接,現在就應該好了。一些使能端就不說了。接正確就好了。
支持原創,打擊盜版。針對題目,反對復制。
如有問題,歡迎討論……
謝謝。qq838667126
F. 彩燈控制器的電路圖
彩燈控制器電路由電源電路和彩燈控制電路組成,如圖所示。
電源電路由整流二極體VDl-VD4、限流電阻器Rl、穩壓二極體VS和濾波電容器Cl組成。
彩燈控制電路由計數器集成電路IC、電阻器肛-R13、電容器C2、可變電阻器RP、晶閘管VTl-VTlO和彩燈HLl-HLlO組成。為簡化電路,圖中IC的Q7-QlO端、Q12、Q13端(該集成電路無Ql-Q3和Qll端)和電阻器R7-Rl2、晶閘管VT4-VT9、彩燈HL4-HL9本畫出。
交流220V電壓經VDl,VD4整流、Rl限流降壓、VS穩壓及Cl濾波後,為IC提供6.8V直流工作電源。
RP、R2、R3、C2和IC的9-11腳內電路組成多諧振盪器。在接通電源後,多諧振盪器即振盪工作,IC對多諧振盪器產生的振盪信號進行分頻計數後,從IC的Q4-QlO端和Q12-Q14端輸出變化的控制電平,使VTl-VTlO間歇導通,彩燈HLl-HLlO按不同的頻率閃爍發光 (HLl的閃爍頻率最高,HLlO的閃爍頻率最低)。
調節RP的阻值,可改變彩燈閃爍的頻率。
元器件選擇
Rl選用1/2W金屬膜電阻器;R2-R13均選用1/4W金屬膜電阻器。
Cl選用耐壓值為16V的鋁電解電容器;C2選用獨石電容器或CBB電容器。
RP選用有機實心可變電阻器。
VDl-VD4選用1N4004或1N4007型硅整流二極體。
VS選用lW、6.8V的硅穩壓二極體,例如lN4736等型號。
VTl-VTl4均選用2P4M(2A、400V)的晶閘管。
IC選用14級二進制計數分頻器集成電路。
HLl-HLlO選用成品彩燈串。
G. 如何設計8路彩燈控制器
1、當控制開關為0時,燈全滅;當控制開關為1時,從第一盞開始,依次點亮,時間間隔為1秒。期間一直保持只有一盞燈亮、其他燈全滅的狀態。
2、8盞燈依次亮完後,從第8盞開始依次滅,期間一直保持只有一盞燈滅、其他燈全亮的狀態。
3、當8盞燈依次滅完後,8盞燈同時亮同時滅,其時間間隔為0.5秒,並重復4次。
4、只要控制開關為1,上述亮燈次序不斷重復。
5、用層次化設計方法設計該電路,編寫各個功能模塊的程序。
6、模擬各功能模塊,通過觀察有關波形確認電路設計是否正確。
7、完成電路設計後,用實驗系統下載驗證設計的正確性。
H. 彩燈控制器的設計與製作
大哥,你能不能說請
你是想用plc
還是單片機啊
I. 基於單片機的LED彩燈設計
1引言 隨著人們生活環境的不斷改善和美化,在許多場合可以看到彩色霓虹燈。LED彩燈由於其豐 富的燈光 色彩,低廉的造價以及控制簡單等特點而得到了廣泛的應用,用 彩燈來裝飾街道和城市建築物已經成為 一種時尚。但目前市場上各式樣的LED彩燈控制器大多數用全硬體電路實現,電路結構復雜、功能單一, 這樣一旦製作成品只能按照固定的模式閃亮,不能根據不同場合、不同時間段的需要來調節亮燈時間、模 式、閃爍頻率等動態 參數。這種彩燈控制器結構往往有晶元過多、電路復雜、功率損耗大等缺點。此外 從功能效果上看,亮燈模式少而且樣式單調,缺乏用戶可操作性,影響亮燈效果。因此有必要對現有的彩 燈控制器進行改進。 本文提出了一種基於AT89C51單片機的彩燈控制方案,實現對LED彩燈的控制。本方案以AT89C51單片 機作為主控核心,與鍵盤、顯示、驅動等模塊組成核心主控制模塊。在主控模塊上設有8個按鍵和5位七段 碼LED顯示器,根據用戶需要可以編寫若干種亮燈模式,利用其內部定時器T0實現一個基本單位時間為5 ms的定時中斷,根據各種亮燈時間的不同需要,在不同時刻輸出燈亮或燈滅的控制信號,然後驅動各種顏 色的燈亮或 滅。該新型LED彩燈及其控制器是上海某公司委託開發產品,產品實際應用效果較好,亮燈模式多,用戶 可以根據不同場合和時間來調節亮燈頻率和亮燈時間。與普通LED彩燈相比,具有體積小、價格低、低能 耗等優點。 2系統功能 新型LED彩燈分為2部分,即彩燈控制器(主控模塊)和管內LED板模塊(受控模塊)。彩燈控制器可 直接與220 V交流市電相連接,經過開關電源變換,輸出直流工作電壓,一方面為管內LED模塊提供12 V工 作電源,另一方面為主控模塊單片機系統(彩燈控制器)提供5 V工作電源。整個系統工作由軟體程序控 制運行,根據需要,用戶可以在LED彩燈工作時通過主控模塊上的按鍵來設定亮燈時間和燈光閃動頻率。 上電後系統經過初始化,查詢是否有功能切換鍵按下:有,則進入用戶設定模式狀態;無,則進入默 認預設工作狀態。在用戶設定模式狀態下,用戶可以根據個人愛好及不同場合的需要來指定調用哪些模式 ,並且可以改變每種模式的時間Ti、頻率Fi參數,如果用戶想進入預設狀態模式,只需按一下功能切換鍵 即可跳入預設模式,程序會自動順序調用亮燈模式;在預設工作狀態下,LED彩燈控制器按照程序設定好 的若干亮燈花樣模式程序Model_i順序調用往下走,從第Model_1模式開始工作,自Model_1到Model_2…… 到Mod el_n為一個亮燈周期,然後再回到Model_1循環繼續工作,同樣如果想進入用戶設定模式狀態,只 需按下功能切換鍵即可。整個n種亮燈模式時間可以看作一個大周期T,其中的每一種花樣工作模式 Model_i(i=1,2,…,n)時間為小周期Ti,對於每一個模式編寫一個獨立工作子程序Model_i,其中設 定了LED三色燈(紅、綠、藍)的點亮時刻(RED_on,GREEN_on,BLUE_on)和熄滅時刻(RED_off, GREEN_off,BLU E_off),以及模式工作時間Ti以及該模式LED閃爍頻率Fi。5位七段碼顯示器的前2位(L1 ,L2)顯示當前工作模式的序號Model_i;後3位(L3,L4,L5)七段碼 顯示三色LED的工作狀態,若該顏 色燈點亮則對應七段碼顯示位為「1」,反之熄滅時則顯示位為「滅」即不顯示,對系統工作狀態起到了 很好的實時監控作用。 因此在LED彩燈上電工作後,用戶可以方便地通過主控模塊上的顯示器知道LED彩燈當前工作模式 Model_i,工作時間Ti,頻率Fi等實時參數。若實際應用需要根據不同場合和時間來改變彩燈閃亮效果, 用戶可以通過主控模塊上的按鍵來設定LED不同的閃爍頻率Fi和亮燈時間Ti,以便符合實際需要。此外如 果用戶對某一種模式感興趣需要仔細觀看該種亮燈模式,可以通過鍵盤選定任意第Model_i模式使系統循 環重復工作在該花樣模式下。 3硬體設計 新型LED彩燈系統包括2大部分,即LED彩燈控制器(89C51主控模塊)和LED彩燈管(管內LED板模塊) 。前者是主控模塊,具有按鍵、顯示等功能,並利用89C51的P口輸出控制信號;後者是受控模塊,上面焊 有三色LED彩燈和信號驅動晶元,模塊置於LED的透明燈管內。 3.1主控模塊電路設計 主控模塊電路如圖1所示。主控模塊主要設計器件有89C51,5個七段碼LED顯示器,8個按鍵,2個穩壓 器(提供12 V,5 V電壓),1個信號輸出驅動模塊晶元(MC4049)等。通過軟體設計,使單片機P0口作為三 色LED驅動信號輸出口及移位時鍾CLOCK信號,P3口為按鍵輸入口,P2口、P1口與5位七段碼LED相接作為顯 示器的輸出口。 3.2管內LED板模塊設計 管內LED板模塊電路見圖2。管內LED板模塊設計主要器件有LED彩燈(紅、綠、藍)、移位觸發模塊芯 片CD4076等。根據實際應用彩燈長度需要,可將不同數量的該管內LED模塊實現級連,組成一個完整的LED 彩燈。考慮到功率損耗,LED板模塊之間介面處用信號正向驅動模塊晶元MC4049連接。每個LED板模塊上均 勻分布3種顏色 LED燈,在實際製作PCB時採用紅、綠、藍3色互隔焊接方式,在電路板上把LED發光管按順 序L1(紅)、L2(綠)、L3(藍)、L4(紅)、L5(綠)、L6(藍)……依次均勻焊在板上成一條直線。為了得到更多 的花樣模式效果,可以使紅綠2種燈從前往後驅動點亮閃爍,藍燈從後往前驅動點亮閃爍,這樣具有很好 的動感視覺效果。 4軟體設計 新型LED彩燈控制器最大特點在於所有亮燈模式均由軟體控制完成。系統中軟體可以分為主程序和中 斷服務子程序。上電後在預設狀態以順序調用Model_i花樣亮燈模式流程為主程序,以一個單位時間5 ms 的T0定時為中斷服務子程序。在這個5 ms的T0定時基礎上,可以根據需要來確定各種模式工作時間Ti,以 及確定在各種亮燈模式Mo de l_i內點亮和熄滅各種顏色LED燈的時刻:Red_on,Red_off,Green_on,Green_off,Blue_on, blue_off以及Clock(移位翻轉脈沖)等。整個系統軟體由主程序( Main)、各個模式子程序(Model_i )、5 ms中斷服務子程序(T0 Interrupt)、鍵盤掃描處理子程序(Key Board)、顯示子程序(Display) 等程序組成。利用T0定時器作為定時基本單位,根據模式需要計算好各控制信號的發生時刻,根據不同的 模式Mo del_i可以設定不同的工作時間Ti和脈沖翻轉頻率Fi通過P0口輸出,使各色L ED燈的驅動時刻與移 位觸發的翻轉時刻步調一致,使LED彩燈按照設計的模式工作。 除了T0定時中斷之外,程序的大部份時間是在處理按鍵的查詢和LED顯示的延時。8個按鍵分別為:4 個參數按鍵(Fi增、減按鍵,Ti增、減按鍵),3個模式改變按鍵(模式上翻UP、模式下翻DOWN、模式保 持KEEP),1個功能切換按鍵。在每次的T0定時中斷服務子程序里,需要對各個時間寄存器和模式寄存器 進行加1或者清,為主程序查詢作準備,同時查詢是否已中斷6次(30 ms),若30 ms到了,則對參數按鍵 查詢一次,是否有時間Ti頻率Fi增減鍵按下並進行相應子程序處理。 主程序除了調用各種子模式子程序(Model_i),調用LED顯示子程序(Display)和延時子程序(Delay) 之外,還一直保持查詢是否有功能切鍵按下以及是否有模式改變按鍵按下,一旦有功能切換鍵和模式改變 鍵按下,就會進入相應的按鍵處理。主程序流程如圖3所示。亮燈模式子程序Model_i可以編寫若干(n種) ,只要控制好各色燈觸發和熄滅時刻就可以組合成各種亮燈效果。Model_i程序流程如圖4所示。
J. 彩燈控制器的設計與製作(單片機C語言),求原理圖和程序!!!
#include#include#defineucharunsignedchar#(uintz);main(){ucharaa;while(1){aa=0xfe;P1=aa;delay(100);while(1){aa=_crol_(aa,1);P1=aa;delay(100);}}}voiddelay(uintz){uintx,y;for(x=z;x>0;x--)for(y=110;y>0;y--);}