导航:首页 > 电器电路 > 彩灯控制电路设计

彩灯控制电路设计

发布时间:2022-06-08 16:51:14

A. 晚会彩灯控制电路设计

兄弟,这么点分没人给你做的!!

B. 八路彩灯控制器电路设计图,要求用移位寄存器为核心元件,组成两种花形,每种连续循环两次

3 工作原理
3.1 系统方案
3.11 方案一
彩灯控制器电原理图如下图所示。ICl、IC2由555接成多谐振荡器。IC3由4位2进制计数器74LS93接成16进制计数器,其4个输出端可分别输出对计数脉冲的2、4、8、16分频信号。IC4是双D触发器74LS74,在这里接成两位2进制加法计数器。IC5是双4选l数据选择器74LSl53,这里只用了它的一组4选1数据通道。IC6是
3位单向移位寄存器74LSl64,它是产生移动灯光信号的核心器件。
驱动电路用8只三极管组成8路射随器作缓冲放大,去触发作电流开关的8只双向可控硅,以控制彩灯
发光。
电路的十5V电源由220V/9V变压器降压,经D1一D4桥式整流,7805稳压后给控制电路供电。

电路工作原理
从ICl⑧脚出来的脉冲信号分为两路:一路作为计数脉冲送到IC3的⑩脚;另一路作为移位时钟脉冲加到IC6的⑧脚。调节RWl改变ICl的振荡频率,可以改变灯光的移动速度,以得到不同的动态效果。
IC2、IC4、IC5共同组成了一个电子开关。IC2输出的计数脉冲经IC4两位二进制计数,在IC4的两个输出端共可得到“00”一“11”4个逻辑状态。这4个状态作为IC5的4个数据通道选择信号,对应从IC3输送到IC5的QA、QB、QC、QD4个分频信号。其作用相当于一个受控的一刀四位的机械转换开关。当IC4输出为“00”时,选通IC5的⑧脚;为“01”时,选定IC5的⑤脚……。调节RW2改变IC2的输出脉冲周期,可以改变开关的切换时间,用以选择每种花样出现时间的长短。
从IC5第⑦脚输出的数据信号送到IC6的输入端,在时钟脉冲作用下,数据在IC6的8位并行输出端从Q0一Q7顺序移动。这一移动的8位控制信号经功率驱动电路去推动8路彩灯,就出现了8路4花样自动循环切换的流水彩灯。

3.12 方案二
彩灯控制电路如下图所示,彩灯由发光二极管模拟替代,该电路由555定时器,7490计数器和74138译码器组成。7490计数器的时钟信号由555振荡器提供,改变555振荡器的频率,即可控制彩灯闪烁的快慢。计数器输出信号输送至74138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到不同的输出信号,决定控制彩灯的循环变化。显然,不同的计数器与译码器电路得到的是不同的彩灯循环控制结果。若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的技术,则在输出端可见不同的彩灯循环输出。

3.13 方案三
彩灯控制电路如图所示,图中SE9201为双极和CMOS兼容工艺的大规模集成电路,采用DIP-18脚双列直式塑封结构。该电路外围元件少,外接一只电位器RP与电容器C2,其阻容值就决定了内部振荡器的时钟频率。通常电容器取0.1-0.22μF,电位器为1MΩ,通过改变其电阻值就可以改变闪光快慢,待调到理想闪光频率时再换用同阻值的固定电阻器。集成电路有B1—B4四个花样选择端,通过其与不同电平连接,可组成众多变化的闪光花样。Q1—Q8共八个输出端,可驱动八路彩灯,SE9201使用电源为3—8V,典型值为5V。
这里的B1—B4相连,以实现四点追逐和全亮间隔闪光双循环的基本花样,当然,也可在次端口接入一个控制器,不停地变换闪光方式。

方案二电路原理图

方案三电路原理图
方案一的电路图较之二和三来相对复杂,用的元器件较多;方案二电路图简单,用到的元器件少,但花样少,不同的花样需换用不同的计数器与译码器,形式较为呆板;方案三用的元器件少,电路图简单易于维修组装与调试,且花样丰富多彩,四个选择端可任意连接组成多达27种花样,故采用方案三!

4 元器件的选择
IC选用SE9201型彩灯专用集成电路。VD1—VD4采用N4004—1N4007型等硅整流二极管;VD5选用5V,0.5W稳压二极管,如2CW21B,HZ5C—2型或5.1V,0.5V稳压二极管,如2CW53—5V1,1N5231,1N5231B,1N5993,2CW5231,UZ—5.1B型等;VS1—VS8选用普通小型塑封单向晶闸管,如2N6565,MCR100—8,BT169型等,每路彩灯功率可达100W左右。R1采用RI—1W型金属膜电阻器,其余电阻可选用RTX—1/8W型碳膜电阻器。RP可用WH5小型碳膜合成电位器,它用可以调节彩灯循环点亮的速率。C1采用CD11—16V型电解电容器,C2用CT1型瓷介电容器。

5 元器件的简要说明
5.1 SE9201的控制方式

SE9201具有8种基本花样:①四点追逐;②弹性张缩;③跳马右旋;④跳马左旋;⑤依次亮同时灭;⑥同时灭依次亮;⑦左右扩张;⑧全亮间隔闪光。
下表提供27种花样自动变换方式,自动全循环时,每种花样闪光次数除全亮间隔闪光四次外,其他花样都八次。而双循环和全循环的每种花样的闪光次数都为自动转换次数的一半。

SE9201集成电路花样的控制方式
次序 B1 B2 B3 B4 灯 光 变 换 花 样
1 低 低 低 悬空 四点追逐
2 高 低 低 悬空 弹性张缩
3 低 高 低 悬空 跳马右旋
4 高 高 低 悬空 跳马左旋
5 低 低 高 悬空 依次亮同时灭
6 高 低 高 悬空 同时灭依次亮
7 低 高 高 悬空 八种花样自动循环
8 高 高 高 悬空 全亮间隔闪光
9 低 低 B3、B4相连 四点追逐和依次亮同时灭双循环
10 高 低 B3、B4相连 弹性张缩和同时灭依次亮双循环
11 低 高 B3、B4相连 跳马右旋为主间隔8种花样自动转换
12 高 高 B3、B4相连 跳马左旋和全亮间隔闪光双循环
13 低 低 B2、B4相连 四点追逐和跳马右旋双循环
14 高 低 B2、B4相连 弹性张缩和跳马左旋双循环
15 低 高 B2、B4相连 依次亮同时灭为主间隔8种花样自动转换
16 高 高 B2、B4相连 同时灭依次亮和全亮间隔闪光双循环
17 低 低 B1、B4相连 四点追逐和弹性张缩双循环
18 高 低 B1、B4相连 跳马左右旋循环
19 低 高 B1、B4相连 依次亮同时灭和同时灭依次亮双循环
20 高 高 B1、B4相连 全亮间隔闪光为主间隔8种花样循环
21 低 B2、B3、B4相连 四点追逐为主间隔8种花样循环
22 高 B2、B3、B4相连 弹性张缩和全亮间隔闪光双循环
23 低 B1、B3、B4相连 四点追逐和同时亮依次灭双循环
24 高 B1、B3、B4相连 跳马右旋和全亮间隔闪光双循环
25 低 B1、B2、B4相连 四点追逐和跳马左旋双循环
26 高 B1、B2、B4相连 依次亮同时灭和全亮间隔闪光双循环
27 B1B2B3B4相连 四点追逐和全亮间隔闪光双循环

6 制作与调试
除EL1—EL8外,所有电子元器件安装在一块自制的印制电路板上,并将 其装入大小合适的塑料或木盒之内。如驱动大功率的电路,则需选用大功率的晶闸管,对于开机时正常,工作一段时间后出现某一路或几路灯光常亮的故障,一般是由于采用质量差的晶闸管或器件温升过高而造成,解决方法是更换质量较好的晶闸管或加散热器。

C. EDA课程设计:彩灯控制器

以前做的设计,粘贴时图形没出来,参考一下,记得给分啊

一.设计目的
1、学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。
2、进一步掌握数字电路课程所学的知识。
3、了解数字电路设计的一般思路,进一步解决和分析问题。
4、培养自己的编程和谨慎的学习态度
二、.设计题目内容和要求
(1)课题内容:
用EDA技术设计一个彩灯控制器,使彩灯(LED管)能连续发出三种以上不同的花型(自拟);
随着彩灯显示图案的变化,发出不同的音响声。
要求使用7段数码管显示当前显示的花型,如第一种花型显示A1,第二种花型显示b2,第三种花型显示C3
(2)主要任务:完成该系统的硬件和软件的设计,并利用实验箱制作出实物演示,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计报告。
三、总体方案设计与选择
1 总体方案的设计
方案一:电路分为三个部分:彩灯花型模块、声音模块,时钟模块。用时钟控制声音和花型,整体使用相同的变量与信号,主体框图如下;

图三—1-1方案一的的流程图
方案二:电路分为五个模块:分频器模块、16进制计数器、4进制计数器,4选1选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个16进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。整体框图如下:

图三—1-2方案二的流程图
2、方案的选择
方案一是将融合在一起,原理思路简单,元件种类使用少,但是在编程时要使用同一变量和信号,这样就会给编程带来很大的困难,另外中间单元连线较多,不容易检查,门电路使用较多,电路的抗干扰能力会下降。
方案二将彩灯花型控制与声音控制分开,各单元电路只实现一种功能,电路设计模块化,且编程时将工作量分开,出现错误时较容易检查,连线较少且容易组装和调试。
结合两个方案的优缺点,我选择容易编程、组装和调试的方案二。

四、模块电路的设计
1、分频器模块
设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。
模块说明:
Rst:输入信号 复位信号 用来复位分频器的输出使输出为“0”,及没有音乐输出。
Clk:输入信号 模块的功能即为分频输入的频率信号。
Clk_4、clk8、clk_12、clk_16:输出信号 即为分频模块对输入信号clk的分频,分别为1/4分频输出、1/8分频输出、1/12分频输出、1/16分频输出。不同的频率会发出不同的声音。如图

图四-1分频器电路图
2、16进制计数器
16进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。
Rst:输入信号 复位信号 用来复位16进制使其输出为“00000”,即彩灯不亮。
Clk1:输入信号 用来给模块提供工作频率。
Count_out[3..0]:输出信号 即为16进制计数器的输出,此输出信号作为彩灯的输入信号。
如图四-2
图四-2 16进制计数器电路图
3、4进制计数器模块
4进制计数器作为选择器的输入来控制选择器选择不同的频率作为输出控制扬声器工作。
Clk2:输入信号 来为计数器提供工作频率。
Rst:输入信号 复位信号 使计数器的输出为“00”。
如图四-3
图四-3 4进制计数器电路图
4、4选1选择器模块
Rst:输入信号复位信号使选择器的输出为“0”。
In1、in2、in3、in4:输入信号接分频器的输出。
Inp[1..0]:输入信号接4进制计数器的输出用来控制选择器的选择不同的输入选择不同的输出。
Output2:输出信号直接接扬声器即输出的是不同的频率来控制扬声器播放声音
如图四—4
图四—4 4选1选择器电路图
5、彩灯控制模块
彩灯控制采用的模式6来进行显示。
图四—5—1模式6结构图
彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。
Rst:输入信号 使彩灯控制模块的输出为“00000000”,即让彩灯无输出。
Input[4..0]:输入信号 不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。
Output3[7..0]:输出信号 直接与数码管相连来控制数码管。
如图四—5—2
图四-5-2 彩灯控制电路图
五、EDA设计与仿真
1、源程序:
----------------------------------------------分频器模块-----------------------------------------

LIBRARYieee;
USEieee.std_logic_1164.all;

ENTITYfenpinqi IS

PORT
(
clk2,rst :IN std_logic;
clk_12,clk_4,clk_16,clk_8 : OUT std_logic
);

ENDfenpinqi;

ARCHITECTUREcd OF fenpinqi IS
begin
p1:process(clk2,rst)
variable a:integer range 0 to 20;

begin
if rst='1' then
clk_4<='0'; ----- 复位信号控制部分
else
if clk2'event and clk2='1'then
if a>=3 then
a:=0;
clk_4<='1';
else
a:=a+1;
clk_4<='0';
end if;
end if;
end if;
endprocess p1;

p2:process(clk2,rst)
variable b:integer range 0 to 20;

begin
if rst='1' then
clk_16<='0'; ----- 复位信号控制部分
else
if clk2'event and clk2='1'then
if b>=15 then
b:=0;
clk_16<='1';
else
b:=b+1;
clk_16<='0';
end if;
end if;
end if;
endprocess p2;

p3:process(clk2,rst)
variable c:integer range 0 to 20;

begin
if rst='1' then
clk_8<='0'; ----- 复位信号控制部分
else
if clk2'event and clk2='1'then
if c>=7 then
c:=0;
clk_8<='1';
else
c:=c+1;
clk_8<='0';
end if;
end if;
end if;
endprocess p3;

p4:process(clk2,rst)
variable d:integer range 0 to 40;

begin
if rst='1' then
clk_12<='0'; ----- 复位信号控制部分
else
if clk2'event and clk2='1'then
if d>=11 then
d:=0;
clk_12<='1';
else
d:=d+1;
clk_12<='0';
end if;
end if;
end if;
endprocess p4;
endcd;

----------------------------------------------4选1选择器---------------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;

ENTITYxzq4_1 IS

PORT
(
rst:in std_logic;
inp:in integer range 0 to 3;
in1,in2,in3,in4 : In std_logic;

output2 :OUT std_logic
);

ENDxzq4_1;

ARCHITECTUREa OF xzq4_1 IS

BEGIN

PROCESS (rst,inp)
BEGIN
if(rst='1') then output2<='0';

else
case inp is
when 0=>output2<=in1;
when 1=>output2<=in2;
when 2=>output2<=in3;
when 3=>output2<=in4;
when others=>null;
end case;
end if;
END PROCESS;
ENDa;

-------------------------------------------彩灯控制模块----------------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;

ENTITYcaideng IS

PORT
(
input :
IN INTEGER RANGE
0 TO 15;
rst:in std_logic;
output3 :OUT std_logic_vector(7 downto 0);
sm :out std_logic_vector(6 downto 0)
);

ENDcaideng;

ARCHITECTUREa OF caideng IS

BEGIN

PROCESS (input)
BEGIN
if rst='1' thenoutput3<="00000000";sm<="0000000";
else
case input is
when 0=>output3<="00111000";sm<="0000110";
when1=>output3<="00001111";sm<="0000110";
when2=>output3<="00111110";sm<="0000110";
when3=>output3<="01111111";sm<="0000110";

when4=>output3<="01011011";sm<="1011011";
when5=>output3<="01110110";sm<="1011011";
when6=>output3<="00001111";sm<="1011011";
when7=>output3<="01111111";sm<="1011011";

when8=>output3<="01101101";sm<="1001111";
when9=>output3<="00000111";sm<="1001111";
when10=>output3<="01110111";sm<="1001111";
when11=>output3<="01111011";sm<="1001111";

when12=>output3<="00111000";sm<="1100110";
when13=>output3<="00111111";sm<="1100110";
when14=>output3<="00111110";sm<="1100110";
when 15=>output3<="01111001";sm<="1100110";
when others=>null;

end case;
end if;
end process;
end a;

--------------------------------------------16进制计数器模块-----------------------------------

LIBRARYieee;
USEieee.std_logic_1164.all;

ENTITYcounter_16 IS

PORT
(
clk,rst :IN std_logic;
count_out :
OUT INTEGER RANGE
0 TO 15);

ENDcounter_16;

ARCHITECTUREa OF counter_16 IS
BEGIN

PROCESS (rst,clk)
variable temp:integer range 0 to 16;
BEGIN

IF rst='1' THEN
temp:=0;

ELSIF (clk'event and clk='1') THEN

temp:=temp+1;
if(temp=15) then
temp:=0;
end if;
END IF;
count_out<=temp;
END PROCESS;
ENDa;

-------------------------------4进制计数器模块----------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;

ENTITYcounter_4 IS

PORT
(
clk,rst :IN std_logic;
count_out :OUT integer range 0 to 3 );

ENDcounter_4;

ARCHITECTUREa OF counter_4 IS
BEGIN

PROCESS (rst,clk)
variable temp:integer range 0 to 16;
BEGIN

IF rst='1' THEN
temp:=0;
ELSIF (clk'event and clk='1') THEN

temp:=temp+1;
if(temp=4) then
temp:=0;
end if;
END IF;
count_out<=temp;
END PROCESS;
ENDa;

-------------------------------------------主程序----------------------------------
LIBRARYieee;
USEieee.std_logic_1164.all;

ENTITYproject IS
PORT (clk1,rst,clk2: IN std_logic;
Out1: OUT std_logic_vector(7 downto 0);
Out2 :out std_logic_vector(6 downto0);
Out3: OUT std_logic);
ENDproject;

ARCHITECTUREstruct OF project IS
COMPONENT counter_16 IS
PORT(clk,rst : IN std_logic;
count_out : OUT integer range 0 to 15 );
ENDCOMPONENT;

COMPONENT fenpinqi IS
PORT(clk2,rst : IN std_logic;
clk_12,clk_4,clk_16,clk_8 : OUT std_logic);
END COMPONENT ;

COMPONENT counter_4 IS
PORT(clk,rst :IN std_logic;
count_out :OUT integer range 0 to 3 );

ENDCOMPONENT;

COMPONENT xzq4_1 IS
PORT
(
rst:in std_logic;
inp:in integer range 0 to 3;
in1,in2,in3,in4 : In std_logic;

output2 :OUT std_logic
);

ENDCOMPONENT;

COMPONENT caideng IS
PORT
(
input: IN INTEGER RANGE 0 TO 15;
rst:in std_logic;
output3 :OUT std_logic_vector(7 downto 0);
sm :out std_logic_vector(6 downto 0)
);

ENDCOMPONENT;

SIGNALu: integer range 0 to 15;
SIGNALw: integer range 0 to 3;
SIGNALv1,v2,v3,v4: std_logic;

BEGIN
U1:counter_16PORT MAP(clk1,rst,u);
U2:fenpinqiPORT MAP(clk2,rst, v1,v2,v3,v4);
U3:counter_4PORT MAP(v3,rst,w);
U4:xzq4_1 PORT MAP(rst,w, v1,v2,v3,v4,out3);
U5:caidengPORT MAP(u,rst,out1,out2);
ENDstruct;
2、彩灯控制器仿真结果及数据分析
分析:如上图,clk1控制的是彩灯模块,clk2控制的是声音模块,当rst为高电平是输出全为0,ck1每出现四个高电平,花型发生一次变化,out2分别显示1、2、3、4,out1显示不同的花型,out3发出声音,如图脉冲数不同表示发出的声音不同,但是声音与花型相比有一定的延迟。
六、硬件实现
1、引脚锁定图
2、硬件仿真图
显示第一组花型之一
显示第二组花型之一
显示第三组花型之一

显示的第四组花型之一

七、总体电路
整个系统就是各个分模块组成来实现最后的彩灯控制功能,系统又两个时钟来控制一个是控制16进制计数器即控制彩灯控制模块来实现彩灯的不同输出,另一个时钟为分频器的输入来进行分频处理,最后用来控制扬声器发出不同的音乐,为了使效果明显尽量达到要求分频处理的时钟的频率比实现彩灯控制的时钟频率要高。
将各个模块连在一起采用在课程中学到的元件例化,将各个模块的引脚连在一起,使之成为一个整体。元件例化是VHDL设计实体构自上而下层次化设计的重要途径。整体电路如图五—1

图七—1 整体电路图
八、心得体会
1、在设计时遇到一些主要问题如下:怎么将各个模块连在一起、开始硬件仿真时总是出现错误,设计方案的选择。最后我选择了元件例化将各个模块连在一起,仿真时是因为短路帽接错了,当时没有注意,在方案的选择时我们选了实现比较简单的分模块方案
2、这次的EDA课程设计我学到得东西很多明白了理论与实践之间的差距,而且对DEA课程有了更深入的理解,尤其是知道了怎么去应用所学的知识,怎么去利用网络实现自己的要求,具体体会如下:
(1)要想完成编程就要对DEA知识很熟悉,这样才能加快编程的速度,另外在编程时一定要小心,稍微有一点粗心都会有很多的错误出现,在出现错误后要学会寻找错误原因如名称前后不一、数据类型不同、符号写错等等
(2)拿道题目后要注意分析,要学会总体把握,然后再一一一细化、学会将复杂的问题简单化,分析时一定要有一个明确的目标。
(3)要学会理论联系实际,在程序导入到实验箱后,居然不显示结果,认真的检查看看操作是否有错误、试验箱中该短路的是否已用短路帽短路、又重新检查了一下程序,结果发现是短路帽接错了,所以看似很简单的操作自己操作起来可能会有很大的漏洞,所以亲自动手是很重要的。
(4)当自己的只是有限时,要注意运用网络等一切资源,要学会知识的灵活运用在查阅的过程中学到了很多在书本所没有学到的知识,通过查阅相关资料进一步加深了对EDA的了解
总的来说,通过这次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,要把所学的理论知识与实践相结合起来,才能提高自己的实际动手能力和独立思考的能力。还有最重要的一点就是要有一丝不苟的精神和端正认真的态度,遇到困难后要学会积极的面对。
3、在此设计中声音会有一定的延迟,可以考虑用花型输出信号作为4选1的控制信号
九、参考书目:
赵伟军,《Protel99se教程》,北京,人民邮电出版社,1996年
金西,《VHDL与复杂数字系统设计》,西安,西安电子科技大学出版社,2003
汉泽西,《EDA技术及其应用》,北京,北京航空航天大学出版社,2004
[4] 黄任,《VHDL入门.解惑.经典实例.经验总结》,北京,北京航空航天大学出版社,2005
[5] 李洋,《EDA技术 使用教程》,北京,机械工业出版社,2009
[6] 网络资源:EDA课程设计、EDA课程设计—彩灯控制器等

D. 彩灯循环控制电路的设计与制作

循环彩灯控制电路的设计与制作
利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。近年来,随着人们生活水平的较大提高,人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器的需要,也开始在环境的幽雅方面有了更高的要求。比如日光灯已经不能满足于我们的需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀。这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发展。在这一设计中我们将涉及有关彩灯控制器的设计,从原理上使我们对这一设计有所了解。将其确实的与我们相联系起来。
循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的循环彩灯控制器就是用计数器和译码器来实现,其特点是控制器来控制四组发光二极管,使其能循环发光。
本七彩循环控制电路由交流压降整流电路、时基脉冲发生器、十进制计数器和可控硅触发彩灯电路等组成,其电路如图交流压降整流电路整流稳压输入9V的直流电压,供IC1、IC2等使用。时基脉冲发生器由IC1(555),R1、RP1、C3等组成,它产生的周期脉冲序列频率为fc=1.44/(R1+2R2+RP1)C3其时钟频率及占空比由RP1 调定。
元器件清单
序号 名称 型号 参数 数量
1 通用电路板 1
2 T 变压器 15V 1
3 C1 电解电容 330μF/25V 1
4 C2 电解电容 100μF 1
5 C3 电解电容 3.3μF/16V 1
6 C4 瓷片电容 0.1p 1
7 R1 电阻 2kΩ/0.25W 1
8 R2~R5 电阻 1 kΩ/0.5W 4
9 RP 电位器 680 kΩ 1
10 IC0 桥式整流器 桥式整流器 1
11 IC1 7809 1
12 IC2 IN555 1
13 IC2 CD4017 1
14 VD1~VD4 BTA06 4
15 H1~H2 G2HD01 4
16 集成电路插座(8脚) 1
17 集成电路插座(16脚) 1
18 电源线 线经0.15蓝色50cm

课题需要完成的任务:
利用电子电路装置控制。控制四路彩灯,每路以20瓦,200伏白炽灯为负载(测试中用发光二极管代替),彩灯双向流动点亮,其闪烁频率在(1~10)赫兹内可调。彩灯控制器包含时钟发生器、顺序脉冲产生电路、可控硅触发电路和直流电源灯组成部分,逻辑电路采用集成电路。
参考文献
[1]康华光.数字电子技术[M].高等教育出版社,2001.
[2]阎石.数字电子技术基础[M].北京:高等教育出版社,2001.
[3]祁存荣.电子技术实验基础[M].武汉理工大学教材中心,2002.
[4]彭介华.电子技术课程设计指导[M].高等教育出版社,1997.
[5]李国丽,朱维勇.电子技术实验指导书[M].中国科技大学出版社,2001.
[6]郑家龙,王小海.集成电子技术基础教程[M].高等教育出版社,1997.

E. 节日灯控制电路如何设计

74ls161是16进制计数器。0000-1111
一个脉冲走一个数。
74ls38是38线译码器。有3个输入端。将74ls161低三位输出端联在74ls138上。
74ls138有8个输出端,因为你有16个灯,所以一个输出端接两个灯。
现在每个灯都接在74ls138上了。8组灯,一组两个,每组灯之前接一个与门分别与74ls161的高位输出端和高位输出端的“非”连接,现在就应该好了。一些使能端就不说了。接正确就好了。

支持原创,打击盗版。针对题目,反对复制。

如有问题,欢迎讨论……
谢谢。qq838667126

F. 彩灯控制器的电路图

彩灯控制器电路由电源电路和彩灯控制电路组成,如图所示。

电源电路由整流二极管VDl-VD4、限流电阻器Rl、稳压二极管VS和滤波电容器Cl组成。
彩灯控制电路由计数器集成电路IC、电阻器肛-R13、电容器C2、可变电阻器RP、晶闸管VTl-VTlO和彩灯HLl-HLlO组成。为简化电路,图中IC的Q7-QlO端、Q12、Q13端(该集成电路无Ql-Q3和Qll端)和电阻器R7-Rl2、晶闸管VT4-VT9、彩灯HL4-HL9本画出。
交流220V电压经VDl,VD4整流、Rl限流降压、VS稳压及Cl滤波后,为IC提供6.8V直流工作电源。
RP、R2、R3、C2和IC的9-11脚内电路组成多谐振荡器。在接通电源后,多谐振荡器即振荡工作,IC对多谐振荡器产生的振荡信号进行分频计数后,从IC的Q4-QlO端和Q12-Q14端输出变化的控制电平,使VTl-VTlO间歇导通,彩灯HLl-HLlO按不同的频率闪烁发光 (HLl的闪烁频率最高,HLlO的闪烁频率最低)。
调节RP的阻值,可改变彩灯闪烁的频率。
元器件选择
Rl选用1/2W金属膜电阻器;R2-R13均选用1/4W金属膜电阻器。
Cl选用耐压值为16V的铝电解电容器;C2选用独石电容器或CBB电容器。
RP选用有机实心可变电阻器。
VDl-VD4选用1N4004或1N4007型硅整流二极管。
VS选用lW、6.8V的硅稳压二极管,例如lN4736等型号。
VTl-VTl4均选用2P4M(2A、400V)的晶闸管。
IC选用14级二进制计数分频器集成电路。
HLl-HLlO选用成品彩灯串。

G. 如何设计8路彩灯控制器

1、当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。

2、8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。

3、当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。

4、只要控制开关为1,上述亮灯次序不断重复。

5、用层次化设计方法设计该电路,编写各个功能模块的程序。

6、仿真各功能模块,通过观察有关波形确认电路设计是否正确。

7、完成电路设计后,用实验系统下载验证设计的正确性。

H. 彩灯控制器的设计与制作

大哥,你能不能说请
你是想用plc
还是单片机啊

I. 基于单片机的LED彩灯设计

1引言 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰 富的灯光 色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为 一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一, 这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模 式、闪烁频率等动态 参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外 从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩 灯控制器进行改进。 本文提出了一种基于AT89C51单片机的彩灯控制方案,实现对LED彩灯的控制。本方案以AT89C51单片 机作为主控核心,与键盘、显示、驱动等模块组成核心主控制模块。在主控模块上设有8个按键和5位七段 码LED显示器,根据用户需要可以编写若干种亮灯模式,利用其内部定时器T0实现一个基本单位时间为5 ms的定时中断,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜 色的灯亮或 灭。该新型LED彩灯及其控制器是上海某公司委托开发产品,产品实际应用效果较好,亮灯模式多,用户 可以根据不同场合和时间来调节亮灯频率和亮灯时间。与普通LED彩灯相比,具有体积小、价格低、低能 耗等优点。 2系统功能 新型LED彩灯分为2部分,即彩灯控制器(主控模块)和管内LED板模块(受控模块)。彩灯控制器可 直接与220 V交流市电相连接,经过开关电源变换,输出直流工作电压,一方面为管内LED模块提供12 V工 作电源,另一方面为主控模块单片机系统(彩灯控制器)提供5 V工作电源。整个系统工作由软件程序控 制运行,根据需要,用户可以在LED彩灯工作时通过主控模块上的按键来设定亮灯时间和灯光闪动频率。 上电后系统经过初始化,查询是否有功能切换键按下:有,则进入用户设定模式状态;无,则进入默 认缺省工作状态。在用户设定模式状态下,用户可以根据个人爱好及不同场合的需要来指定调用哪些模式 ,并且可以改变每种模式的时间Ti、频率Fi参数,如果用户想进入缺省状态模式,只需按一下功能切换键 即可跳入缺省模式,程序会自动顺序调用亮灯模式;在缺省工作状态下,LED彩灯控制器按照程序设定好 的若干亮灯花样模式程序Model_i顺序调用往下走,从第Model_1模式开始工作,自Model_1到Model_2…… 到Mod el_n为一个亮灯周期,然后再回到Model_1循环继续工作,同样如果想进入用户设定模式状态,只 需按下功能切换键即可。整个n种亮灯模式时间可以看作一个大周期T,其中的每一种花样工作模式 Model_i(i=1,2,…,n)时间为小周期Ti,对于每一个模式编写一个独立工作子程序Model_i,其中设 定了LED三色灯(红、绿、蓝)的点亮时刻(RED_on,GREEN_on,BLUE_on)和熄灭时刻(RED_off, GREEN_off,BLU E_off),以及模式工作时间Ti以及该模式LED闪烁频率Fi。5位七段码显示器的前2位(L1 ,L2)显示当前工作模式的序号Model_i;后3位(L3,L4,L5)七段码 显示三色LED的工作状态,若该颜 色灯点亮则对应七段码显示位为“1”,反之熄灭时则显示位为“灭”即不显示,对系统工作状态起到了 很好的实时监控作用。 因此在LED彩灯上电工作后,用户可以方便地通过主控模块上的显示器知道LED彩灯当前工作模式 Model_i,工作时间Ti,频率Fi等实时参数。若实际应用需要根据不同场合和时间来改变彩灯闪亮效果, 用户可以通过主控模块上的按键来设定LED不同的闪烁频率Fi和亮灯时间Ti,以便符合实际需要。此外如 果用户对某一种模式感兴趣需要仔细观看该种亮灯模式,可以通过键盘选定任意第Model_i模式使系统循 环重复工作在该花样模式下。 3硬件设计 新型LED彩灯系统包括2大部分,即LED彩灯控制器(89C51主控模块)和LED彩灯管(管内LED板模块) 。前者是主控模块,具有按键、显示等功能,并利用89C51的P口输出控制信号;后者是受控模块,上面焊 有三色LED彩灯和信号驱动芯片,模块置于LED的透明灯管内。 3.1主控模块电路设计 主控模块电路如图1所示。主控模块主要设计器件有89C51,5个七段码LED显示器,8个按键,2个稳压 器(提供12 V,5 V电压),1个信号输出驱动模块芯片(MC4049)等。通过软件设计,使单片机P0口作为三 色LED驱动信号输出口及移位时钟CLOCK信号,P3口为按键输入口,P2口、P1口与5位七段码LED相接作为显 示器的输出口。 3.2管内LED板模块设计 管内LED板模块电路见图2。管内LED板模块设计主要器件有LED彩灯(红、绿、蓝)、移位触发模块芯 片CD4076等。根据实际应用彩灯长度需要,可将不同数量的该管内LED模块实现级连,组成一个完整的LED 彩灯。考虑到功率损耗,LED板模块之间接口处用信号正向驱动模块芯片MC4049连接。每个LED板模块上均 匀分布3种颜色 LED灯,在实际制作PCB时采用红、绿、蓝3色互隔焊接方式,在电路板上把LED发光管按顺 序L1(红)、L2(绿)、L3(蓝)、L4(红)、L5(绿)、L6(蓝)……依次均匀焊在板上成一条直线。为了得到更多 的花样模式效果,可以使红绿2种灯从前往后驱动点亮闪烁,蓝灯从后往前驱动点亮闪烁,这样具有很好 的动感视觉效果。 4软件设计 新型LED彩灯控制器最大特点在于所有亮灯模式均由软件控制完成。系统中软件可以分为主程序和中 断服务子程序。上电后在缺省状态以顺序调用Model_i花样亮灯模式流程为主程序,以一个单位时间5 ms 的T0定时为中断服务子程序。在这个5 ms的T0定时基础上,可以根据需要来确定各种模式工作时间Ti,以 及确定在各种亮灯模式Mo de l_i内点亮和熄灭各种颜色LED灯的时刻:Red_on,Red_off,Green_on,Green_off,Blue_on, blue_off以及Clock(移位翻转脉冲)等。整个系统软件由主程序( Main)、各个模式子程序(Model_i )、5 ms中断服务子程序(T0 Interrupt)、键盘扫描处理子程序(Key Board)、显示子程序(Display) 等程序组成。利用T0定时器作为定时基本单位,根据模式需要计算好各控制信号的发生时刻,根据不同的 模式Mo del_i可以设定不同的工作时间Ti和脉冲翻转频率Fi通过P0口输出,使各色L ED灯的驱动时刻与移 位触发的翻转时刻步调一致,使LED彩灯按照设计的模式工作。 除了T0定时中断之外,程序的大部份时间是在处理按键的查询和LED显示的延时。8个按键分别为:4 个参数按键(Fi增、减按键,Ti增、减按键),3个模式改变按键(模式上翻UP、模式下翻DOWN、模式保 持KEEP),1个功能切换按键。在每次的T0定时中断服务子程序里,需要对各个时间寄存器和模式寄存器 进行加1或者清,为主程序查询作准备,同时查询是否已中断6次(30 ms),若30 ms到了,则对参数按键 查询一次,是否有时间Ti频率Fi增减键按下并进行相应子程序处理。 主程序除了调用各种子模式子程序(Model_i),调用LED显示子程序(Display)和延时子程序(Delay) 之外,还一直保持查询是否有功能切键按下以及是否有模式改变按键按下,一旦有功能切换键和模式改变 键按下,就会进入相应的按键处理。主程序流程如图3所示。亮灯模式子程序Model_i可以编写若干(n种) ,只要控制好各色灯触发和熄灭时刻就可以组合成各种亮灯效果。Model_i程序流程如图4所示。

J. 彩灯控制器的设计与制作(单片机C语言),求原理图和程序!!!

#include#include#defineucharunsignedchar#(uintz);main(){ucharaa;while(1){aa=0xfe;P1=aa;delay(100);while(1){aa=_crol_(aa,1);P1=aa;delay(100);}}}voiddelay(uintz){uintx,y;for(x=z;x>0;x--)for(y=110;y>0;y--);}

阅读全文

与彩灯控制电路设计相关的资料

热点内容
iphone屏幕白点可以保修吗 浏览:997
家具泡水后生虫怎么办 浏览:328
渗透防水原液是什么材料 浏览:693
汽车售后怎么去外面招揽客户 浏览:488
京东买的刚过保修就坏了 浏览:735
杨丽萍家居 浏览:138
江阴市哪里有美的洗衣机维修 浏览:126
有什么实用的小家电或小家具 浏览:844
禁止乱用电路 浏览:854
电路增流 浏览:515
杭州叉车建德维修电话 浏览:361
三星售后维修论坛 浏览:319
苹果直营店维修电脑怎么样 浏览:714
京东售后安装怎么接单 浏览:887
练手电路图 浏览:740
高压锅炉的维修保养有哪些 浏览:1
小米官网维修点福州 浏览:547
电路的功率因数是 浏览:510
河北索尼售后维修点在哪 浏览:494
汽车保修一个月多少钱 浏览:581